Undergoing

ISE와 ModelSim을 이용한 전가산기 만들기 본문

~2012.05.01 Legacy

ISE와 ModelSim을 이용한 전가산기 만들기

Halkrine 2009. 5. 25. 22:11
* ISE라고는 한 번도 건드린 적 없는 미약한 존재에게 구원의 손길을 주신 DCC 분들께 감사의 말씀 드립니다.

과제 중에 ISE와 ModelSim을 이용해서 전가산기를 만들어보라는 게 있었는데 당최 써봤어야 말이지.

그래서 나같은 뉴비들을 위해 야심차게 제작 설명서를 만들어 보았다.

레포트를 위해 참고하는 거야 상관없지만, 고대로 CCCV해서 제출했다가 감점당하는 우를 범하지 않기를 바란다.

1. File -> New Project 실행후 Next신공

사용자 삽입 이미지

2. 회로 제작. 전가산기는 반가산기 2개 연결 + OR 회로이지만 모르는 분들을 위해 스크린 샷을 첨부함.

사용자 삽입 이미지

3. Project -> New Source -> Test Bench Waveform 클릭 후 파일을 생성한다(버전에 따라 Test Bench Waveform 파일 형식이 없을 때는 ISE를 재설치해야 한다 -_-). Finish 후 Initial Timing and Clock Wizard 창이 뜨는데, 여기서 Test Bench Waveform을 클릭 후 파일을 생성한다. 옵션은 다음 스크린샷대로 한다.

사용자 삽입 이미지

4. 여기까지 에러 없이 왔다면 다음 화면이 뜰 것이다. 매 과정마다 저장을 해줘야 한다.

사용자 삽입 이미지

5. 위 화면에서 하늘색 부분을 더블클릭하면 값을 입력할 수 있다. 0 혹은 1 등을 넣어보자. 값을 입력한 후 왼쪽 Source 탭에 Source for 탭 옆을 클릭한 다음 'Behavioral Sim Simulation'으로 바꾸자. 저장은 필수. 이때 tbw파일을 매개체로 시뮬레이션해야 한다.

6. 왼쪽 아래 Process탭을 클릭하면 'Xilinx ISE Simulator'가 생성되어 있다. 이것을 더블클릭하면 끝!


도움이 되셨기를 바랍니다. 끝.